CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 交通灯

搜索资源列表

  1. 交通灯_XIN

    1下载:
  2. 使用vhdl语言编写的交通灯控制程序,带有完整的实验报告。-use of the VHDL language traffic lights control procedures, with a complete report of the experiment.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:266194
    • 提供者:丢丢熊
  1. 十字路口交通灯控制器设计

    0下载:
  2. 使用VHDL实现十字路口交通灯控制器设计,适用于初学者-use VHDL crossroads traffic signal controller design, applicable to beginners
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:29495
    • 提供者:沈燮勇
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. Mars_EP1C6F_Comprehansive_demo(VHDL)

    0下载:
  2. FPGA开发板配套VHDL代码。芯片为Mars EP1C6F。综合实验的源码。包括交通灯实验等。-FPGA development board support VHDL code. Chips for the Mars EP1C6F. General experimental source. Experiments, including traffic lights.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:806261
    • 提供者:chenlu
  1. CONTROLLER

    0下载:
  2. NEW!! 交通灯实验报告 全面-NEW! ! Experimental report provides a comprehensive traffic lights
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:321530
    • 提供者:花无缺
  1. traffic

    0下载:
  2. Verilog HDL语言设计的交通灯设计-Verilog HDL language designed traffic light design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:312754
    • 提供者:yyfeng
  1. jiaotongdeng

    0下载:
  2. 设计一个简单的十字路口交通灯。交通灯分东西和南北两个方向,均通过数码管和指示灯指示当前的状态。设两个方向的流量相当,红灯时间45s,绿灯时间40s,黄灯时间5s。-Design a simple traffic lights at a crossroads. Traffic lights at East-West and North-South in both directions, both through the digital control and the current status
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:1028
    • 提供者:linyao
  1. Design_of_Traffic_Light_Controller_Based_on_VHDL.r

    0下载:
  2. :传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制 器设计的一般思路和方法。选择XIL INX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5. X和MODELSIM SE 6. 0开发工具进行了程序的编译和功能仿真。最后给出了交通灯控制器的部分VHDL源程序和仿真结果,仿 真结果表明该系统的设计方案正确。-Traffic light controller is usually developed bymicro p rocesso
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:434672
    • 提供者:li
  1. Design_of_Traffic_Light_Control_System_Base_on_FPG

    1下载:
  2. 用VHDL 语言设计交通灯控制系统, 并在MAX+PLUS II 系统对FPGA/ CPLD 芯片进行下载, 由于生成的是集成化的数字电 路, 没有传统设计中的接线问题, 所以故障率低、可靠性高, 而且体积小。体现了EDA 技术在数字电路设计中的优越性。-The design method of traffic light control system by using Very- High- Speed Integrated Circuit Hardware Descr iption La
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:73396
    • 提供者:li
  1. jiaotongdeng

    0下载:
  2. 交通灯控制系统VHDL源码,用VHDL语言、MAXPLUS2环境设计实现-VHDL core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:401377
    • 提供者:DAVID
  1. traffic_controller

    0下载:
  2. 实现交通灯控制器的vhdl编程,并且经过下载验证-Implementation of traffic light controller VHDL programming, and has gone through a download authentication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1475166
    • 提供者:电子时钟
  1. traffic

    0下载:
  2. verilog HDl 交通灯的实现,而且这是有别于一般的vhdl语言-verilog HDl traffic light
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:324319
    • 提供者:萧海武
  1. jtd

    0下载:
  2. 这个是用verilog语言编写的基于FPGA的交通灯控制器,分别控制四个方向上的交通灯的通断-The verilog language is FPGA-based traffic light controller, respectively, the four direction control of traffic lights-off
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:48263
    • 提供者:jyb
  1. CPLD

    0下载:
  2. 摘要:本文主要介绍以CPLD 芯片进行十字路口的交通灯的设计,用CPLD 作为交通灯控制器的主控芯片,采用VHDL 语言编写控制程序,利用CPLD的可重复编程和在动态系统重构的特性,大大地提高了数字系统设计的灵活性和通用性。 关键词:CPLD;VHDL;交通灯控制器 中图分类号:TP39 Abstract :This paper introduces the electronic-traffic lamp, which is based on the VHDL and is co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:35244
    • 提供者:jimmy
  1. traffic

    0下载:
  2. 本实验模拟路口的红黄绿交通灯的变化过程,用LED灯表示交通灯,并在数码管上显示当前状态剩余时间。 -- 红灯持续时间为30秒,黄灯3秒,绿灯30秒-traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:191022
    • 提供者:zz
  1. ccsj

    0下载:
  2. 此文件 含有基于EDA技术的交通灯设计方案和源程序-This file contains the traffic lights based on EDA technology design and source code
  3. 所属分类:Project Design

    • 发布日期:2017-05-06
    • 文件大小:1266121
    • 提供者:范伟林
  1. jiaotongdeng

    0下载:
  2. VHDL--十字路口交通灯设计(一组红黄绿交通灯和倒计时设计)-design of luminaire for transportation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:77431
    • 提供者:雇主
  1. vhdl

    0下载:
  2. 基于状态机的交通灯控制,运用状态机设计红黄绿交通灯控制电路系统。(Based on state machine traffic light control, the use of state machine design red, yellow and green traffic lights control circuit system.)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-19
    • 文件大小:41984
    • 提供者:周等等
  1. jiaotongdeng

    0下载:
  2. 基于VHDL状态机的交通灯设计(已仿真下载实验板测试)(Traffic light design based on VHDL state machine (simulation download, experimental board test))
  3. 所属分类:VHDL/FPGA/Verilog

  1. 04.交通灯

    0下载:
  2. 既然是交通灯模拟实验,红黄绿三色小灯闪烁时间就要模拟真实的交通灯,我们使用Arduino 的delay()函数来控制延时时间,相对于C 语言就要简单许多了。(void setup() { pinMode(redled, OUTPUT);/ pinMode(yellowled, OUTPUT) pinMode(greenled, OUTPUT); })
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:333824
    • 提供者:acba
« 1 2 34 5 6 7 8 9 10 ... 18 »
搜珍网 www.dssz.com